MIN-Fakultät
Fachbereich Informatik
TAMS

VHDL-Tools

Our collection of public-domain VHDL tools. If you cannot find a tool here, please make sure to check the other VHDL servers.

IDEs | Grammar | Simulator | Simulator - pd | Waveform | Editor | Pretty print | Misc.


Development environments

Several new projects (as well as commercial products) are working on toolchains integrating project management, editing, simulation and synthesis tasks within one development environment. Especially Eclipse based tools (plugins) have to be mentioned here.

Currently these tools are either good editors or simulators or waveform viewers, but this is work in progress and functionality is growing rapidly...

Signs The Signs project is working at an Eclipse plugin for (V)HDL hardware development. Currently the toolchain contains an editor, a parser (generating internal representations), a schematic output (!) with cross-referencing capabilities and a simulation engine along with waveform output.
Source code is also available at SourceForge.
SimplifIDE SimplifIDE is a (commercial) development environment focused on HDL entry and code parsing. A basic free version contains standard editing features for VHDL.
Sigasi HDT Another (commercial) development tool is Sigasi HDT. It contains an VHDL parser and compiler that runs transparently in the background. This enables advanced design assistance such as intelligent navigation, instant error reporting, intelligent code completion, quickfixes and automated refactoring.
A free public beta of the IDE is available.
IVI A graphical front-end for various simulators. IVI allows the user to control simulation and view signal waveforms as the data is produced by the simulation.

Grammar and Parsers

VHDL-93 Hyperlinked BNF of the VHDL-93 BNF grammar. Or get the ASCII version.
VHDL grammar VHDL lex/yacc grammar (20K compressed ASCII).
VAUL VHDL Analyzer and Utility Library (VAUL) parser home page at the University of Dortmund. VAUL is written in C++ and needs flex/bison.
our local but outdated copy (University of Hamburg) (520K tar.gz).
VHDL-AMS and VHDL-93 parser See under "Selected Projects" for several Parser and Design Browser written in SWI-Prolog
  • VHDL-AMS Parser / Pretty Printer System
  • VHDL-AMS Design Browser (IEEE 1076.1)
  • VHDL-93 Parser
  • VHDL-93 Design Browser
VHDL-AMS parser Another VHDL-AMS parser, implemented in java.
VHDL lex/yacc parser VHDL parser vhdl-lexyacc.1.4 by Thomas Dettmers (19K compressed tar).
VHDL parser in Java VHDLParser and VHDLTree: a GNU licensed VHDL parser and parse-tree viewer written in Java.
VHDL parser VHDL parser vhdl-rexlalr.1.2 from the University of Twente, based on the GMD Compiler Tool Box CCTB (35K compressed tar).

Parser frontend vhdlfront.1.1 (100K compressed tar).

VHDL Object Model Parser A parser written in the REFINE system, Ohio Board of Regents and the University of Cincinnati (36K compressed tar), individual files.
vhdl2vl A GPL'ed converter from VHDL to Verilog
vhdl-2-c A prototype VHDL-2-C compiler (for sequential statements).

Simulators - commercial

Many vendors offer trial versions of commercial products, which are restricted in design complexity, simulation speed and/or license expiration. Most FPGA vendors also have VHDL simulators restricted to their device families.
VHDL Simili An integrated development environment from Symphony EDA with a fast VHDL compiler and simulator, a waveform viewer and a GUI with project management, editing and debugging capabilities. The compiler/simulator can be used as batch tools. VHDL Simili development is currently available for FREE (intended for small designs, as the performance will be disgraded on large designs) or you can request a demo/trial license for the full version.
BlueHDL Restricted but free demo version of a VHDL/Verilog/SystemC simulator for Windows and Linux from from Blue Pacific Computing, Inc. The free Student Version is limited to 50k bytes of source code (about 2500 lines), 1000 total signals, 100 displayed signals and 4k events per signal.

Simulators - free

Free projects (GPL or similiar licenses)
GHDL A compiling VHDL simulator using GCC technology, supporting VHDL-87 and VHDL-93 standards. A waveform viewer GTKWave (see below) can be connected and there is also a port to IVI (Eclipse integration).
One of the best choices for free VHDL simulation (under Linux)!
Alliance The Alliance CAD system (Universite de Paris).
Inspire A free VHDL simulator from Korea.
FreeHDL project A project to develop a free, open source, GPL'ed VHDL simulator for Linux! The goal of the project is to develop a VHDL-93 compliant simulator with graphical waveform viewer, source level debugger, and with commercial quality.

While some of the parser, simulator and tool code is already available, the project is still looking for new members and supporters!


Waveform viewer / Frontend tools

GTKWave Powerful waveform viewer for various output formats (VCD/EVCD/LXT/Synopsys), based on GTK+ toolkit.
IVI A graphical front-end for various simulators. IVI allows the user to control simulation and view signal waveforms as the data is produced by the simulation. IVI is realized a an Eclipse plugin.

Editors

vtags for VIM Both perl and awk scripts to generate tag files for the VIM editor from your Verilog and VHDL source files, useful for browsing code and during code reviews.
Emacs VHDL mode The official Emacs VHDL mode home page. The mode includes syntax highlighting, indentation, templation insertion, word completion, customized menus, ... everything.
vim Newer versions of vim, a freeware vi clone, also include support support and syntax highlighting for VHDL.
VHDL editor with hierarchy tree A Tcl-based VHDL editor with syntax highlighting and a separate "explorer" style hierarchy browser, which also allows to quickly jump to a selected VHDL object (e.g. architecture).
nedit A freeware Unix editor with syntax highlighting for several programming languages, including VHDL.
PRISM editor An editor for Windows 95/98/NT with support for VHDL and several other languages, e.g. ABEL and Synopsys scripts. The editor is shareware; it will disable features after a trial period. The corresponding Windows based help file is free.
jGRASP A development environment, created specifically to provide automatic generation of software visualizations, improving the comprehensibility. jGRASP is implemented in Java, and runs on all platforms with a Java Virtual Machine (Java version 1.3 or higher).
jGRASP supports development in Java, C, C++, Ada, and VHDL, and it can be configured to work with almost any compiler.

Pretty printing

mvp_v11 Make VHDL Pretty utility. (69K compressed tar).
MVPx A Windows frontend for MVP. Generates formatted Postscript from VHDL source code.
vhdl-nice
vhdl2html
Some VHDL related tools fom the CRWU VLSI CAD Group: a pretty printer, a vhdl to HTML converter...

Misc.

TimingTool TimingTool is a free graphical timing diagram editor, implemented as a Java applet. The editor uses TDML (timing diagram modelling language) as its data format and supports export to VHDL, Verilog, and text/graphics formats. As timing diagrams are stored on the TimingTool server, a user account with corresponding registration is required.
Ardid Ardid is a set of tools developed at the University of Madrid to help in the VHDL design flow of systems on silicon. It provides both a graphical front-end environment optimized for a VHDL design flow, and a set of tools to automatically check quality aspects of VHDL code. Ardid itself is free, but the tools rely on a commercial VHDL parser, which requires a licence. More details can be found in the papers about Ardid.
hdl2html A perl script to convert VHDL or Verilog into HTML code with color highlighting of keywords etc.
vsplit A tool to split design files into individual files for each entity, architecture, configuration (12K compressed tar).
vmkr A makefile generator (version 2.8) to be used in combination with vsplit (110K compressed tar).
blif2vhdl A BLIF to VHDL converter (51K compressed tar, with SunOS, Solaris, and Linux binaries. Source code (C++) included).
Brusey20 A FSM-schematic to VHDL code generator, with C sources (the MSC thesis of Thomas C. Mayo) The tool takes xfig drawings of FSMs and generates synthesizable VHDL code.
odlgen An awk script to automatically generate the case statement for the output decode logic process of an FSM (needs Gnu awk, example input file in the zip archive).